SEDATE Publications

This material is presented to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders. All persons copying this information are expected to adhere to the terms and constraints invoked by each author's copyright. In most cases, these works may not be reposted without the explicit permission of the copyright holder.


Edited books

  1. J. Kleijn and A. Yakovlev (Eds). Petri nets and Other Models of Concurrency - ICATPN 2007, Lecture Notes in Computer Science, vol. 4546, ISBN 978-3-540-73093-4, Springer-Verlag, 2007, 515 p.

Journal

  1. D. Sokolov, I. Poliakov and A. Yakovlev, Analysis of Static Dataflow Structures, Fundamenta Informaticae, Vol. 88, No.4, pp. 581-610, IOS Press, 2008.
  2. V. Khomenko, A. Madalinski and A. Yakovlev, Resolution of Encoding Conflicts by Signal Insertion and Concurrency Reduction Based on STG Unfoldings, Fundamenta Informaticae, Vol. 86, No.3, pp. 299-323, IOS Press, 2008.
  3. D. Shang, A. Yakovlev, A. Koelmans, D. Sokolov and A. Bystrov. Registers for Phase Difference Based Logic, IEEE Trans on VLSI Systems, vol. 15, no. 6, pp. 720-724, June 2007.
  4. D. Sokolov, A. Bystrov and A.Yakovlev. Direct mapping of low-latency asynchronous controllers from STGs, IEEE Transactions on CAD, vol.26, No. 6, pp. 993-1009, June 2007.

Conference

  1. A. Mokhov, V. Khomenko and A. Yakovlev. Flat Arbiters, Proc. Ninth Int. Conference on Application of Concurrency to System Design, 1-3 July 2009, Augsburg, Germany, Ed. S. Edwards, R. Lorenz and W. Vogler, IEEE CS Press, July 2009, pp. 99-108
  2. I. Poliakov, V. Khomenko and A. Yakovlev. WORKCRAFT - a Framework for Interpreted Graph Models, Applications and Theory of Petri Nets, Proceedings 30th Int. Conference, Petri Nets 2009, Paris, France, June 2009, LNCS 5606, pp. 333-342, Springer, June 2009.
  3. A. Mokhov, C. D’Alessandro and A. Yakovlev, Synthesis of Multiple Rail Phase Encoding Circuits, Proceedings of the 15th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC’09), Chapel Hill, NC, USA, May 2009, IEEE CS Press, pp. 95-104.
  4. S. Dasgupta and A. Yakovlev, Desynchronization techniques using Petri nets, Proceedings of the Fourth Workshop on Globally Asynchronous, Locally Synchronous Design (FMGALS 2009), Ed. S. Shukla and J.-P. Talpin, DATE’09 Friday workshop, Nice, France, April 2009, pp. 73-89 (to appear in Electronic Notes in Computer Science).
  5. Ashur Ra?ev, Julian P. Murphy, Danil Sokolov, Alex Yakovlev. Conversion Driven Design of Binary to Mixed Radix Circuits, Proc. IEEE Int. Conf.on Computer Design (ICCD’08), Lake Tahoe, CA, USA, October 2008, IEEE CS Press, pp. 410-416.
  6. P. Darondeau, M. Koutny, M. Pietkiewicz-Koutny, and A. Yakovlev. Synthesis of Nets with Step Firing Policies, in: K.M. van Hee and R. Valk (Eds.), Applications and Theory of Petri nets, Proc. 29th In. Conference Petri nets 2008, Xi’an, China, June 2008, LNCS 5062, Springer, pp. 112-131 (Best Paper Award).
  7. J. Carmona, J.Cortadella, M. Kishinevsky, A. Kondratyev, L. Lavagno, and A. Yakovlev. A Symbolic Algorithm for the Synthesis of Bounded Petri Nets, in: K.M. van Hee and R. Valk (Eds.), Applications and Theory of Petri nets, Proc. 29th In. Conference Petri nets 2008, Xi’an, China, June 2008, LNCS 5062, Springer, pp. 92-111.
  8. A. Yakovlev, D. Sokolov and I. Poliakov, Self-timed Circuit Design: Stepping from Control to Data Path, Proceedings of Workshop Concurrency Methods, Issues and Applications (CHINA 2008), Xi’an, China, June 2008, pp. 35-40 (also registered as Tech Report CS-TR-1102 of School of Computing Science, Newcastle University) (invited talk).
  9. I. Poliakov, A. Mokhov, A. Rafiev, D. Sokolov and A. Yakovlev. Automated Verification of Asynchronous Circuits Using Circuit Petri Nets, Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems, Newcastle upon Tyne, UK, April 2008, pp. 161-170.
  10. D. Shang, C.H. Shin, P. Wang, F. Xia, A. Koelmans, M.H. Oh, S. Kim, and A. Yakovlev, Asynchronous Functional Coupling for Low Power Sensor Network Processors, Nadine Azémard, Lars J. Svensson (Eds.): Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, PATMOS 2007, Gothenburg, Sweden, September 2007, Proceedings. LNCS 4644, ISBN 978-3-540-74441-2, pp. 53-63
  11. K. T. Gardiner, A. Yakovlev and A. Bystrov, A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits, 13th IEEE International On-Line Testing Symposium (IOLTS 2007), July 2007, Heraklion, Crete, Greece. IEEE CS Press, pp. 223-230
  12. D. Sokolov, I. Poliakov and A. Yakovlev. Asynchronous Data Path Models, Proc. 7th Int. Conference on Application of Concurrency to System Design, Bratislava, July 2007, IEEE CS Press, pp. 197-208.
  13. S. Dasgupta and A. Yakovlev. Modeling and Performance Analysis of GALS Architectures, Proc. 2006 IEEE Int. Symposium on System-on-Chip, Tampere, November 2006, pp. 187-190.
  14. Y. Zhou, D. Sokolov and A. Yakovlev, Cost-Aware Synthesis of Asynchronous Circuits Based on Partial Acknowledgement, Proc ICCAD’06, San Jose, November 2006, pp. 158-163.
  15. Ivan Poliakov, Danil Sokolov and Alex Yakovlev, and Charles Brej. Static Data Flow Structures with Dynamic Elements, 20th UK Asynchronous Forum, Manchester, September 2008.
  16. Yu Zhou and Alex Yakovlev, Dynamic Concurrency Reduction for Power Management, 21st UK Asynchronous Forum, Bristol, Sept. 2009.
  17. Arseniy Alekseyev, Ivan Poliakov, Victor Khomenko and Alex Yakovlev, Optimisation of Balsa Control Path Using STG Resynthesis, 21st UK Asynchronous Forum, Bristol, Sept. 2009.
  18. Andrey Mokhov, Ulan Degenbaev and Alex Yakovlev, Synthesis of Instruction Codes in the Context of Asynchronous Microcontrol Design, 21st UK Asynchronous Forum, Bristol, Sept. 2009.

Last modified 05/11/2009 by IGC